Atomic Layer Deposition Systems

PEALD-Atomic-Layer-deposition-system

Benefits of SENTECH ALD systems:

PEALD for sensitive substrates

The true remote plasma source enables homogenous and conformal coating of sensitive substrates and layers at low temperature <100°C. A high flux of reactive gas species is provided at the sample surface without UV radiation or ion bombardment.

In situ diagnostics for process development and optimization

In situ diagnostic by the ALD Real Time Monitor enables ultra-high resolution of single ALD cycles. The advantages are confirmation of ALD regime, reduction of process time, and total cost of ownership. Spectroscopic ellipsometry, QCM and QMS are provided as in situ diagnostic, are advantages of our atomic layer depostion systems too.

Easy reactor cleaning

Regular reactor cleaning is essential for stable and repeatable atomic layer deposition processing. The reactor chamber is easily opened with the help of a lifting device for cleaning of our atomic layer deposition systems.

Glove box system integration

SENTECH atomic layer deposition systems are compatible with glove boxes of various suppliers.

Cluster integration

Atomic layer deposition systems are available as modules for SENTECH clusters. Our atomic layer deposition systems can be combined with SENTECH PECVD and etching systems for industrial application. Clusters optionally feature cassette-to-cassette loading.

SENTECH atomic layer deposition systems enable thermal and plasma enhanced operation. The ALD systems can be configured for oxide, nitride, and metal deposition. 3D structures can be homogenously and conformally coated. With ALD, PECVD and ICPECVD, SENTECH offers plasma deposition technology for depositing films from the nanometer scale up to several microns.

SENTECH ALD systems allow the combination of different thermal and/or plasma enhanced ALD films to multilayer structures. Thermal and plasma enhanced atomic layer deposition is supported in one reactor with an optimal shutter.

SENTECH offers leading edge ultra-fast in-situ monitoring of layer-by-layer film growth using the ALD Real Time Monitor as well as wide range spectroscopic ellipsometry.

ALD_directload_frei_367pxALD_directload_closeup_550pxALD Real Time MonitorPEALD-system-with-loadlockALD_conformalityMaps_Therm-Al2O3_8inchMaps_PEALD-Al2O3_8inchMaps_PEALD-Al2O3Maps_Therm-Al2O3

PEALD-system-with-loadlock

Features:

  • Load lock
  • Optional true remote plasma source
  • Low temperature processing using true remote plasma enhanced ALD
  • Lifting device for opening reactor

ALD_directload_closeup_300x200.jpg

Features:

  • Manual loading
  • Optional true remote plasma source
  • Low temperature processing using true remote plasma enhanced ALD
  • Lifting device for opening reactor

SI-ALD_with_Glovebox_300x200.jpg

Features:

  • For systems with load lock and manual loading
  • Glove box of various suppliers available

4 Port Cluster_SI ALD_SI 500_300x200.jpg

Features:

  • ALD module for 3 to 6 port cluster
  • Combination with PECVD, ICPECVD and plasma etching systems
  • Cassette-to-cassette loading
  • Industrial application, production, and high throughput

Documents   To get more information, please click here.

Documents   To get more information, please click here.