ICP plasma deposition system SI 500 D

PECVD plasma deposition system SI 500 D

Exceptional high density plasma

The SI 500 D features exceptional plasma properties like high density, low ion energy, and low pressure plasma deposition of dielectric films.

Planar ICP plasma source

SENTECH proprietary Planar Triple Spiral Antenna (PTSA) ICP plasma source allows for highly efficient low power coupling.

Outstanding properties of deposited layers

Low etch rate, high breakdown voltage, low stress, no damage of substrate, and very low interface state density down to deposition temperatures of less than 100 °C allow for outstanding properties of the deposited films.

Dynamic temperature control

The substrate electrode with dynamic temperature control in combination with He backside cooling and substrate backside temperature sensing provides excellent stable process conditions over a wide temperature range from room temperature up to +350 °C.

The SI 500 D plasma deposition tool represents the leading-edge for plasma enhanced chemical vapor deposition of dielectric films, a-Si, SiC, and other materials. It is based on PTSA plasma source, separated gas inlets for reaction gasses, dynamic temperature controlled substrate electrode, fully controlled vacuum system, advanced SENTECH control software using remote field bus technology, and a very user friendly general user interface for operating the SI 500 D.

A large variety of substrates from wafers up to 200 mm diameter to parts loaded on carriers can be processed in the SI 500 D plasma deposition system. The single wafer vacuum load lock guarantees stable process conditions and allows for easy switching between processes.

The SI 500 D plasma enhanced deposition tool is configured to deposit SiO2, SiNx, SiONx, and a-Si films in a temperature range from room temperature up to 350 °C. Solutions are available for the deposition of TEOS, SiC, and other materials with liquid or gaseous precursors. The SI 500 D is especially suited for the deposition of high efficient protection barriers on organic materials at low temperatures and damage free deposition of passivating films at well defined temperatures.

SENTECH offers different levels of automation ranging from vacuum cassette loading to one process chamber up to six port cluster with different deposition and etch modules targeted to high flexibility or high throughput. The SI 500 D is available as process module on cluster configuration as well.

SI500D_SoftwareSI500D_uniformityMapSI500D_Raman01SI500D_Raman02SI500D_MIMstructureSI500D_GaSbpixelSI500D_ICPECVDfilmSI500D_stripe

PECVD plasma deposition system SI 500 D

SI 500 D

  • ICPECVD plasma deposition tool
  • With vacuum load lock
  • Up to 200 mm wafers
  • Substrate temperature from RT to 350 °C
  • Laser end point detection
  • Optional substrate bias

Documents   To get more information, please click here.

Documents   To get more information, please click here.