ICPECVD System – SI 500 D

Inductively coupled plasma (ICP) deposition system, the SENTECH SI 500 D for high density, low ion energy, and low-pressure plasma deposition of dielectric films and low-damage, low-temperature deposition for passivation layers.

Key features & benefits

Exceptional high density plasma

The SENTECH SI 500 D ICPECVD System features exceptional plasma properties like high density, low ion energy, and low-pressure plasma deposition of dielectric films and low-damage, low-temperature deposition for passivation layers.

Low-stress ICPECVD

Low-stress ICPECVD of SiNx as GaN HEMT passivation and SiOx for trench filling can be performed with excellent uniformity and repeatability for applications in RF and power devices, photonics, and more with the system.

The SENTECH proprietary plasma source technology

The SENTECH Planar Triple Spiral Antenna (PTSA) source is a unique feature of our high-end ICP process systems. The PTSA source generates uniform plasma with high ion density and low ion energy suited for high-quality and low-damage ICPECVD deposition of SiO2, Si3N4, a-Si, SiC, DLC, and doped layers.  

Outstanding properties of deposited layers

Low etch rate, high breakdown voltage, low stress, no damage of substrate, and very low interface state density down to deposition temperatures of less than 100 °C allow for outstanding properties of the deposited films.

Dynamic temperature control

Substrate temperature setting and stability during the plasma deposition processes are demanding criteria for high-quality etching. The substrate electrode with dynamic temperature control in combination with Helium (He) backside cooling and substrate backside temperature sensing provides high-quality layers, deposited even at low temperatures.

MIM structure
with ICPECVD SiNx film

ICPECVD SiNx film on GaSb pixel
high conformality of the deposition process

Low temperature SiNx
ICPECVD film for lift-off process

SiO2 stripe deposited over Si edge
high conformality of ICPECVD

The SENTECH SI 500 D ICPECVD System represents the leading edge for inductively coupled plasma (ICP) processing in both research and industry for plasma-enhanced chemical vapor deposition of dielectric films, a-Si, SiC, and other materials. The system comprises the ICP plasma source PTSA, a dynamic temperature-controlled substrate electrode, and a fully controlled vacuum system. A large variety of substrates from 100 mm wafers up to 200 mm diameter, as well as substrates on carriers can be handled by the flexible load lock built into the SENTECH SI 500 D. The single-wafer vacuum load lock and mechanical clamping guarantees stable conditions and allows for straightforward switching of processes.

Flexibility and modularity

The SENTECH SI 500 D ICPECVD System is configured to deposit SiO2, SiNx, SiOxNy, and a-Si films in a temperature range from room temperature up to 400 °C. Solutions are available for the deposition of TEOS, SiC, and other materials with liquid or gaseous precursors. The system is especially suited for the deposition of highly efficient protection barriers on organic materials at low temperatures and damage-free deposition of passivating films at well-defined temperatures. SENTECH offers different levels of automation ranging from vacuum cassette loading to one process chamber up to a six-port cluster configuration with different deposition and etch modules offering high flexibility and high throughput. The SENTECH SI 500 D is controlled by advanced hardware and SIA operating software, with a client-server architecture. A well-proven, reliable programmable logic controller (PLC) is used for the real-time control of all components.

  • ICPECVD plasma deposition system
  • With vacuum load lock
  • Up to 200 mm wafers
  • Substrate temperature from RT to 350 °C
  • Laser endpoint detection
  • Optional substrate bias
 
Contact us and request a quote

Explore SENTECH products and applications

News