ICP-RIE Plasma Etch System – SI 500

The SENTECH SI 500 ICP-RIE high-end plasma etch system uses an inductively coupled plasma (ICP) source with low ion energy for low-damage etch and nanostructuring.

Key features & benefits

Low-damage etch

Due to low ion energy and narrow ion energy distribution, low-damage etch and nanostructuring can be performed with SENTECH inductively coupled plasma (ICP) etch tools.

Simple high-rate etch

High-rate plasma etching of Si for MEMS with a high aspect ratio is easily performed either using room temperature alternating processes or cryogenic processes for smooth side walls.

The SENTECH proprietary Plasma Source Technology

The SENTECH Planar Triple Spiral Antenna (PTSA) plasma source is a unique, high-end plasma process system feature. The PTSA source generates uniform plasma with high ion density and low ion energy suited for low-damage etch of sensors, quantum dots, and HEMT. It features high coupling efficiency and very good ignition behaviour for processing a large variety of materials and structures.

Dynamic temperature control

Substrate temperature setting and stability during the plasma etching processes are demanding criteria for high-quality etching. The substrate electrode with dynamic temperature control in combination with He backside cooling and substrate backside temperature sensing provides excellent process conditions over a wide temperature range. Applications like recess and mesa etch in compound semiconductors demonstrate optimal process control, which is necessary for high device performance.

Low damage
20 nm SiGe nano wire

Nano structuring
AlGaAs / GaAs quantum dots

Cryogenic etching
Etching of silicon with SF6/O2 at -100°C

ICP power independent low energy distribution of PTSA plasma source

The SENTECH SI 500 ICP-RIE System represents the leading edge for ICP processing in both research and industry. The system comprises the ICP plasma source PTSA, a dynamic temperature-controlled substrate electrode, a fully controlled vacuum system, and a very easy-to-operate user interface. Configurations for processing a variety of materials, including but not limited to III-V and II-VI compound semiconductors (GaAs, InP, GaN, InSb), dielectrics, quartz, glass, silicon, silicon compounds (SiC, SiGe), and metals are achievable with this flexible and modular plasma etch system.

Flexibility and modularity

A large variety of substrates from 100 mm wafers up to 200 mm in diameter, as well as substrates on carriers, can be handled by the flexible load lock built into the SENTECH SI 500 ICP-RIE System. The single-wafer vacuum load lock guarantees stable process conditions and allows for straightforward switching of processes.

We can offer different levels of automation ranging from vacuum cassette loading to one process chamber up to a six-port cluster configuration, with different etch and deposition modules offering high flexibility and high throughput. The system can also be incorporated as a process module on a cluster configuration.

The SENTECH SI 500 ICP-RIE System is controlled by advanced hardware and SIA operating software, with a client-server architecture. A well-proven, reliable programmable logic controller (PLC) is used for the real-time control of all components.

Configurations:

  • ICP-RIE plasma etch system
  • With compact vacuum load lock
  • For up to 200 mm wafers
  • Substrate temperature from -20 °C to 250 °C
  • Optional: -30 °C to 200 °C

  • Cryogenic ICP plasma etch system
  • With vacuum load lock
  • Substrate temperature from -150 °C to 80 °C (LN2 cooling)
  • Substrate temperature -10 °C to 150 °C for Bosch process with circulation chiller (optional)
  • Automatic switching unit for comfortable change between cryogenic etch and Bosch process

  • RIE plasma etch system
  • Smart solution for He backside-cooled etching
  • Capacitively coupled plasma source, upgradable to ICP plasma source PTSA 200

  • ICP plasma etch system for multi wafers and large substrates
  • With vacuum load lock
  • For up to 380 mm wafers

  • ICP-RIE with atomic layer etch system
  • Precise ion energy control with low ion energy of PTSA combined with high-precision bias control
  • Efficient gas control and switching
  • Process monitoring with SENTECH Real Time Monitor optional

  • Deep reactive ion etch system for gas chopping process
  • Fast gas replacement for low scalloping and smoother sidewalls
  • Efficient ICP source coupling for fast etch rate
  • For up to 150 mm wafers
Contact us and request a quote

Explore SENTECH products and applications

News