Product News

2021_SENDURO MEMS Schiebetür 200x134-1

SENTECH proudly presents the SENDURO®MEMS for fully automatic metrology quality control in sensor and MEMS production. The SENDURO®MEMS provides reliable and precise measurement of thin film stacks, using spectroscopic reflectometry and ellipsometry. The SENDURO®MEMS equipped with reflectometer is for fast thin film measurement. →read more

Metrology for solar cells on large foils

SENTECH developed the new SenSol R2R measurement system for photovoltaics using large foil as substrate. Film thickness, optical as well as electrical properties of functional coatings can be measured on any position of the 2000 m long foils. For this purpose, transmission, reflection measurements and electrical metrology are applied. Challenging are flexibility and tension of the foil because they result in bulges and wrinkles.→read more

2017_Project_FlexCoPlas

SENTECH was part of the project "Research on highly flexible optical precision layers on polymer surfaces (FlexCoPas) funded by the German Federal Ministry of Education and Research (BMBF). Within this project we have been able to to develop a device, which carries out transmission measurements on foils and lenses. Further an optical broadband monitoring with adaptive strategy was developed based on the in situ transmission measurement. →read more

ALD Reactor CNR IMM

The Institute for Microelectronics and Microsystems (CNR-IMM/1/) in Catania, Italy is using a SI PEALD LL tool with an 8-inch wafer configuration. The integration of novel high-k gate dielectrics and passivating layers on devices based on Gallium Nitride and other wide band gap semiconductors is investigated using SENTECH PEALD tool. →read more

Spectroscopic-Ellipsometer-SENresearch-4.0

The SENresearch 4.0 is an innovative ellipsometry solution which features widest spectral ranges and highest spectral resolution. The Step Scan Analyser (SSA) principle is applied for ellipsometric measurement. The extension of the SSA principle by the innovative 2C design allows measuring the full Mueller matrix. SENresearch 4.0 comes with SpectraRay/4, the new comprehensive ellipsometry software for advanced material analysis. →read more

Previous News:

New load lock for ergonomic wafer loading

Plasma-etching-and-PECVD-sytems-with-new-load-lock

SENTECH is proud to launch a new small footprint load lock that is now integrated in all SENTECH plasma deposition and etching systems. Pumping time, venting time as well as foot print of SENTECH plasma tools are reduced. Furthermore, the new load lock features ergonomic wafer loading.

The load lock is designed for wafers up to 8” diameter. The base pressure is lower than 10 Pa using a small dry pump of 14 m3/h pumping speed. Optionally, a turbo pump (33 l/s) is available to reduce the base pressure down to 10-3 Pa. The wafer unloading time is reduced by a factor of 3 compared to former load lock.

Space in the laboratory is saved. Using the SCARA robot design (Selective Compliance Assembly Robot Arm) the size of the new load lock has been reduced by a factor of two. This great advantage is not the only one that highlights the new load lock. Loading and unloading of wafers is now more comfortable for the user.

The first plasma etching tools equipped with the new load lock were delivered to SENTECH users. High reliability and excellent performance are already proven in industrial environment.

Learn more about our plasma etching and PECVD systems by contacting us here!

«« BACK

SENTECH metrology favored by NIMS Japan

Nims_lab

(NIMS laboratory with SENTECH devices, left SE 850 DUV and right SENDIRA)

The National Institute for Materials Science –NIMS– in Japan successfully brought in operation two SENTECH metrology devices: First the spectroscopic ellipsometer SE 850 DUV of the SENTECH SENresearch family. Second the infrared ellipsometer SENDIRA was bought. The outstanding features of these two SENTECH ellipsometers were the reason for the decision of NIMS. This is the worldwide broadest spectral for UV-VI-NIR ellipsometers from 190 nm to 3.5 µm, the most accurate Step Scan Analyser operation mode and the large overlapping of the spectral ranges of SE 850 DUV. For SENDIRA it is the combination of IR ellipsometer optics with a fully applicable FTIR instrument.

The NIMS Institute dedicates its work to the materials research. Both SENTECH ellipsometers SE 850 DUV and SENDIRA are used at NIMS for the fundamental research on new materials. The ellipsometers are used in the Nano-System Photonics Group.

After installation SENTECH application engineer Sven Peters visited the Nano-System Photonics group of NIMS. The team consists of scientists from Japan, Hong Kong, Vietnam and India. He visited the institute for two times. He gave application support and extended training. An introduction into the measurement of Mueller matrix elements using SENTECH ellipsometers was given too. The special support provided by Sven Peters helped the team members to solve current measurement tasks. They are now able to operate both ellipsometers easily.

The team of the Nano System Photonics Group expressed their very positive opinion about SENTECH metrology tools after the trainings. The ellipsometers with their wide spectral ranges and the ultrafast measurements in the infrared are simplifying the research work considerably.

SENTECH is very grateful for the very positive feedback. We want to thank NIMS for the trust they were giving into SENTECH metrology products. We will support the group also in future and help them to apply successfully spectroscopic ellipsometry for their research.

If you want to learn more about possible applications of SENTECH metrology you can contact us here. We will provide application notes and further services.

<<BACK

Dutch universities opt for SENTECH service and flexibility

 ICP RIE Plasma Etcher

SENTECH offers leading edge plasma process technology equipment for etching and deposition and thin film measurement instrumentation based on ellipsometry. The advanced SENTECH plasma equipment is based on the inductively coupled plasma source PTSA (planar triple spiral antenna) which was developed by SENTECH for low damage and high rate etching and deposition. The latest product development at SENTECH expands the ICPECVD product line by ALD and plasma enhanced ALD systems.

The Technical University Eindhoven as well as the University of Groningen are convinced of SENTECH`s excellent service and the high flexibility of SENTECH plasma systems. Plasma systems SI 500 ICP RIE were purchased with 6 and 5 gas lines, respectively, to cover a variety of applications. Before changing to SENTECH systems both universities had been using etching systems of other manufacturers. After the replacement, both institutions appreciate the quality and flexible performance of the inductively coupled plasma etchers SI 500 ICP RIE.

Mr. Johan Holsten, responsible person for the cleanroom in Groningen, chose SENTECH because of the high end components integrated in SENTECH systems. Mr. Holsten was delighted about the rapid installation and the customer oriented service of SENTECH. Quoting Mr. Holsten: “SENTECH was perfectly able to meet our expectations. We have been especially pleased by the individual optimization of the processes and the performance of the plasma etcher. Other manufacturers aren’t able to react to our individual needs at every time. “

Mr. Holsten is convinced of the performance of his SI 500 ICP RIE and he has recommended SENTECH to his former colleague at TU Eindhoven. Mr. Ambrosius, managing director at the nano lab at TU Eindhoven, purchased a SI 500 ICP RIE because the SI 500 ICP RIE with 5 gas lines solves his plasma etching applications with one chamber. We are looking forward to a great cooperation in the future. For more information about our ICP RIE systems contact us here!

Fraunhofer IISB uses the SENTECH TEOS process for ICPECVD

ICPECVD

SENTECH Instruments is a leading supplier of plasma process technology equipment for etching and deposition and thin film metrology instruments based on ellipsometry.

SENTECH Instruments has developed the advanced PTSA (planar triple spiral antenna) inductively coupled plasma source which provides the core plasma technology used in the ICP plasma etcher for low damage and high rate etching and which is used in the ICPECVD low temperature deposition systems. Only recently SENTECH Instruments expanded its ICPECVD processing by ALD and plasma enhanced ALD systems to be able to deposit highly conformal and dense thin metal oxide and metal layers.

The Fraunhofer Institute for Integrated Systems and Device Technology in Erlangen (Fraunhofer IISB) purchased a flexible, multifunctional, fully automatic SENTECH ICPECVD system to process a rather large variety of substrates out of cassettes such as Si wafers of different diameters, thin wafers and thick wafers. The computer controlled deposition system is buildup of a vacuum cassette loading station, a 4 port vacuum transfer chamber and a SI 500 D ICP deposition module leaving 2 ports available for future expansion. The large variety of substrate types was a real challenge as it required a system of special cassettes and carriers. Furthermore the guarantied processes not only included the deposition of Silicon oxide and Silicon nitride and Oxy-nitride layers but included the deposition of low temperature (e.g. 130°C) high quality TEOS-SiO2. Therefor the SI 500 D ICP module was extended by a TEOS box to supply the liquid precursor. In addition, the deposition cluster was set up to deposit p- and n-type doped thin αSi layers as well. The ICPECVD system SI 500 D offers deposition which feature low damage coating of high quality thin films even at low temperatures of less than 100 °C. The SI 500 D at Fraunhofer IISB allows depositions of SiO2, Si3N4, SiC, doped and undoped amorphous silicon films. By implementing an additional liquid TEOS precursor supply system into the standard system configuration the deposition of low temperature TEOS based SiO2 films was successfully setup.

The SI 500 D was purchased for scientific development and the expansion of coating technology services by the Department of Technology, which is headed by Dr. Anton Bauer. Quoting Dr. Bauer; “Fraunhofer IISB has been very satisfied with the rapid and efficient setup work, the large functionality of the system and the technical results of the SI 500 D plasma deposition system being demonstrated.” Especially the new low temperature deposition of TEOS based SiO2 is a high advancement for the work of this department. The Fraunhofer IISB has agreed to pursue a close technological cooperation in ICP deposition technology with SENTECH Instruments in the future.

Achieve SENTECH`s TEOS Application Note here

 

Spectroscopic Ellipsometer for Measuring all 16 Mueller Matrix Elements

Spectroscopic Ellipsometer 16 Müller Matrix Elements

(Spectroscopic Ellipsometer SENresearch with 2C-option)

SENTECH Instruments is a leading supplier of plasma process technology equipment for etching and deposition and thin film metrology instruments based on spectroscopic ellipsometry.

SENTECH dedication to a broad application range for our spectroscopic ellipsometers gave rise to the new 2C-option for Mueller Matrix measurements. This smart option enables SENTECH spectroscopic ellipsometers SENresearch to measure all 16 Mueller Matrix elements with an accuracy of +/- 0.005. Hence, a spectroscopic ellipsometer with 2C-option is perfectly suited for analysing anisoptropic samples, depolarizing samples and structured samples. The images below show examples for an isotropic and an anisotropic sample.

This innovative option for measuring the Mueller Matrix was firstly used by the Physikalisch Technische Bundesanstalt (PTB) in Braunschweig. The PTB is the national institute for natural and engineering sciences and the highest technical authority for metrology and physical safety engineering in Germany. At the beginning of 2014, Dr Bernd Bodermann, head of the department for Ultra-high Resolution Microscopy, purchased a SENresearch spectroscopic ellipsometer with 2C-option for analyzing optical properties of various anisotropic materials and textured samples.

Interviewing Dr Bodermann on the new ellipsometer he lauds: “The SENresearch perfectly completes our range of scatterometry and optical measurement tools. The system works very reliably and perfectly meets our requirements in all fields of metrology. The analysis of artificial optical materials, such as plasmonic structures and metamaterials is enabled for the first time by spectroscopic ellipsometry. In addition, measuring accuracy is enhanced by measuring spectroscopic and entirely polarimetric quantities.”

The 2C-option extends the capabilities of a SENresearch spectroscopic ellipsometer, which include measuring refractive index, absorption coefficient, and film thickness by the Step Scan Analyzer (SSA) principle. The motorized goniometer allows independent movements of analyzer and compensator to feature angle-dependent scattering measurements of e.g. periodic structures.

Furthermore, operating SENresearch spectroscopic ellipsometers is extremely user friendly. The entire ellipsometric measurement can be performed by just one click and the results are displayed in a few seconds. Hence, the new 2C-option is a powerful tool for Mueller Matrix Measurements to study new optical materials and patterned samples. For more information about the newly developed 2C option, contact us here!

   

Spectroscopic Ellipsometry for anisotropic samplesSpectroscopic Ellipsometry for isotropic samples
                                             

Extended PV ellipsometer sold to Japan 2013

SE800PV_microspotsWith the SE 850 Z PV, SENTECH has designed an UV-VIS-NIR spectroscopic ellipsometer for photovoltaic applications. The spectral range of 300 nm up to 1,600 nm is provided for measurements of optical constants on AR coatings and passivation layers in the whole sensitive spectral range of textured silicon solar cells. The first SE 850 Z PV system has been installed recently and is now in successful operation at SHARP Corp. in Katsuragi, Nara Prefecture, Japan.

The SE 850 Z PV extends the standard configuration of a SE 800 PV — a high performance ellipsometer characterized by both fast data acquisition and full spectral resolution including solar probe option for the characterization of textured mono- and multicrystalline silicon solar cells. It incorporates a highly sensitive CCD array detection system in the UV-VIS range and a diode array in the NIR. That allows for fast measurements even at low light levels. Multi-angle capability and correction for depolarization effects are further unique features of the system.

Automatic fiber switches enable seamless transition between UV-VIS and NIR spectral range. The setup allows the light of the UV-VIS-NIR source to be coupled into the optical path of the SE 850 Z PV as well as the reflected light to be switched between UV-VIS and NIR detection channel. The measurement set-up for textured wafers comprises collecting optics, sample stages, and SENTECH’s unique depolarization software feature.

May 2013

Software Assistant for Improvement of the Sample Model, 2013

SR3-Fehlerrechnung

In ellipsometry thickness and refractive index are measured indirectly by adjusting an optical model of the layer stack to the measured data. So, the optical model of the sample is just a rough description that requires validation.

SENTECH has developed an easy-to-use validation assistant to its spectroscopic ellipsometer software SpectraRay/3. The tool gives detailed instructions to the user how to improve the optical model. Its simplicity requires no additional input—the user easily runs the tool and reviews the instructions given in the report.

The validation assistant works by assuming typical accuracies for matching theory and measurement as well as for sample alignment. It calculates the precision of the model including all parameters. In a second step, the error bars for the involved fitting parameters as well as the thicknesses and refractive indices are evaluated.

In the picture, a typical report is given as example. The green color indicates that thickness and absorption index can be measured at expected accuracy. However, the model uses two parameters which are cross-coupled and therefore increase calculation time unnecessarily (see red marks). To improve the model, the user simply has to follow the recommendations given next to them to get a stable model.

March 2013

Spectroscopic Ellipsometer with Multiple Stage Options, 2013

SENTECH has delivered a spectroscopic ellipsometer SE 850 E equipped with four different stage options. The measurement system went to the Centre of Polymer and Carbon Materials of the Polish Academy of Sciences (CMPW PAN) in Zabrze, Poland.

(1) (2) (3) (4)
Tisch-liquid-cell_368px Tisch-INSTEC Tisch-H-T_368px Tisch-transmission

(1) The temperature range of SENTECH variable-angle liquid cell (see previous news) developed for the Institute for Chemistry and Biochemistry at Freie Universität (FU) Berlin has been shifted to a range of +5 °C up to +40 °C.

(2) Furthermore, an INSTEC temperature cell is provided for wider temperature control of the sample. The heating unit with water counter cooling enables temperatures up to +600 °C. Liquid nitrogen cooling decreases the sample temperature down to -190 °C.

(3) SENTECH’s fully featured automatic alignment option (see previous news) for spectroscopic ellipsometers allows for fast and easy automated sample alignment in height as well as in tilt. It includes the auto-focus option plus auto-collimating telescope and motorized actuators for detecting and compensating tilting angles. The alignment option is combined with manual rotating stage and manual x-y stage. Therefore, anisotropic samples can be analyzed as well.

(4) At last, a transmission holder is provided with the spectroscopic ellipsometer. Like the other options, it is designed modularly allowing for easiest table exchange by quick lock mechanism.

January 2013

ALD system with innovative in situ laser ellipsometer for nanotechnological research sold to the Xi`an Jiatong University in China

Atomic Layer Deposition

SENTECH Instruments is a leading supplier of plasma process technology equipment for etching and deposition and thin film metrology instruments based on ellipsometry.

SENTECH Instruments has developed the advanced PTSA (planar triple spiral antenna) inductively coupled plasma source which provides the core plasma technology used in the ICP plasma etcher for low damage and high rate etching and which is used in the ICPECVD low temperature deposition systems. Only recently SENTECH Instruments expanded its ICPECVD processing by ALD and plasma enhanced ALD systems to be able to deposit highly conformal and dense thin metal oxide and metal layers.

The Xi`an Jiatong University in China purchased one of SENTECH`s innovative atomic layer deposition (ALD) systems. The ALD system is equipped with a SENTECH in situ laser ellipsometer and represents a unique tool to monitor and develop new atomic layer deposition  processes. The SENTECH in situ laser ellipsometer used in advanced real time growth process monitoring offers excellent results especially as both tools are made by SENTECH Instruments.

The in situ ellipsometer integrated into the ALD system in Xi’an enables its users to measure film thickness and refraction index of deposited layers during processing with high time resolution. The ALD system was configured for thermal processing and plasma enhanced processing which can be incorporated in recipes without manual interference. Both processes were demonstrated by the atomic layer deposition of Al2O3.

The contact person at Xi`an Jiatong University is Mrs Ji Xing, technical assistant at the Centre of Nanomaterial for Renewable Energy. She will supervise all experiments and research activities related to the ALD system in the future. After installation and in depth technical training and introduction to processing by SENTECH technical service Mrs Xing was able to operate the ALD and the ellipsometer perfectly by herself.

Quoting Mrs. Xing saying: “ We are more than satisfied with the smooth professional work of the SENTECH technical service and SENTECH has met all of our expectations concerning the ALD system and its ellipsometer.

The excellent performance of all processes motivated Xi’an University to order additional processes for their new atomic layer deposition system and SENTECH is glad to provide application support and we are looking forward to further cooperation!

Auto-Align Height and Tilt option for Spectroscopic Ellipsometers, 2012

HT-Tisch

Fast and easy automated sample alignment—not only in height but also in tilt—is realized by SENTECH’s new fully featured automatic alignment system for spectroscopic ellipsometers.

The new auto-align option extends the existing auto-focus comprising a z-stage and a video camera by an auto-collimating telescope and motorized actuators for detecting and compensating tilting angles. An automatic switch between microscope mode (for sample surface height) and auto-collimator mode (for sample surface tilt) enables very quick alignment processes of less than 2.6 seconds. The height and tilt system is controlled by the alignment module of SENTECH spectroscopic ellipsometer software SpectraRay/3.

The option is suitable for measurements on all plane samples, even on transparent samples. It is recommended especially for the mapping of samples with slight bow due to layer tensions. Here, alignment of height and tilt is required at every point of the sample. Furthermore, the system may be used not only to adjust the tilt, but also to measure sample bendings.

Compared to laser adjustment techniques, the new auto-align method is much less sensitive to surface roughness, to particles on the sample, and to structures within the measurement spot. Therefore, the auto-align option is the ideal tool for aligning samples in a general purpose research facility.

October 2012

Video: Very quick auto-alignment

   

New Variable-Angle Liquid Cell for Organic Research, 2012

Liquid-cell_SENpro

A new heatable liquid cell was developed by SENTECH Instruments focusing on the requirements of the Institute for Chemistry and Biochemistry at Freie Universität (FU) Berlin. Experts from this institute visited SENTECH Instruments to get practical training at their own device before its delivery.

The liquid cell is characterized by three angles of incidence for optimal ellipsometric analysis, a small volume of about 26 ml and a heated sample plate. It has been developed for the special organic research of the Institute, where the reaction between enzymes and surface layers is investigated to detect enzyme-resistant films. A small pump is keeping the liquid in flow to prevent the enzymes from settling down on the layers unless because of chemical reactions. The temperature within the cell can be varied from room temperature up to 70 °C.Liquid-cell

At the FU Berlin, the modification of the layers is monitored by SENpro, SENTECH’s easy-to-use and cost-effective spectroscopic ellipsometer. The SENpro combines measurement speed with high accuracy of ellipsometric measurements applying SENTECH’s step scan analyzer measurement mode.

Please contact us for more information.

August 2012

SENTECH End Point Monitor, 2012

Laserinterferometer

Perfect interaction of reflectometry and plasma process technology

Plasma deposition and etching processes require highly precise end point detection methods that are optimally adapted to the plasma system in use.

On the basis of many years of experience in the field of plasma process technology as well as based on great expertise regarding laser ellipsometry, SENTECH is now in the position to provide plasma process equipment with perfectly matching, in-house developed end point monitoring. First plasma systems equipped with an appropriate end point monitor for in-situ depth control are already in successful operation.

The SENTECH monitoring system is designed to detect etch depth and material transitions during the processes. The laser is reflected by the sample in the reactor chamber during the etching or deposition process. From variations of its intensity in dependence of time, material transitions and, thus, process end points are determined.

A separate illumination (LED) and a CCD camera are integrated for sample observation and proper adjustment of the laser spot. The additional motorized x-y table allows for easiest positioning above the reactor chamber window. The belonging end point monitoring software displays the camera image as well as the measured reflection signal. Via a simple software interconnection, the signal of the photo diode can be used in the standard SENTECH plasma system software to define end points in etching and deposition recipes.

April 2012

SENDURO® for 200 mm wafers, 2012

Senduro200_Reinraum

SENTECH has now delivered the first SENDURO® measurement system for 200 mm silicon wafer applications. The system allows the automated measurement of films in the thickness range between a few Angstrom and more than 50 µm. A robot loads the wafers automatically from a cassette station. The very fast measurement of each point allows to analyse the uniformity of coatings on 200 mm wafers by a multipoint measurement.

Please contact us if you want to get more information or a demonstration.

February 2012

PEALD — Plasma Enhanced Atomic Layer Deposition, 2011

Atomic layer deposition (ALD) is a layer-by-layer deposition process of very thin films with conformal coating on 3D structures. Precise control of thickness and film properties is facilitated by adding precursors in separate steps into the vacuum chamber during process cycle. Plasma Enhanced Atomic Layer Deposition (PEALD) is an advanced method of extending the capabilities of ALD by applying radical gas species rather than water as oxidizer during the deposition process.

PEALD_01Based on many years of experience in developing and manufacturing PECVD and ICPECVD equipment, including the proprietary planar triple spiral antenna ICP source, SENTECH proudly announces the launch of its first PEALD system. The new ALD system enables both thermal and plasma assisted operation and deposition monitoring using SENTECH ellipsometers. SENTECH offers leading edge ultra-fast in-situ ellipsometers for monitoring layer-by-layer film growth applying laser ellipsometry as well as wide range spectroscopic ellipsometry.

PEALD_02The first PEALD system was already set in operation at the TU Braunschweig for the deposition of extremely uniform and dense thin oxide films like Al2O3 and ZnO. For the deposition of Al2O3, TMA (C3H9Al) and plasma generated atomic oxygen ‘O’ were utilized at substrate temperatures from 80 °C to 200 °C.

The PEALD films exhibit excellent thickness uniformity and very small variation of the refractive index measured with SENTECH spectroscopic ellipsometers. 2D uniformity plots are shown on the right.

September 2011

SenSol Haze for quality control of TCO films in PV manufacturing, 2011

SenSol Haze

SENTECH SenSol Haze is designed for quality control of transparent conductive oxide films in PV manufacturing of thin film solar cells. It is a TCO inspection tool that offers uniformity mapping of film thickness and spectrally resolved Haze H(λ) on glass sheets of all standard glass sizes. Additional sensors for the measurement of sheet resistance, of composition, of optical constants like refractive index, absorption, and band gap are available.

Oerlikon Solar, Switzerland, a leading provider of thin film PV manufacturing equipment for the mass production of thin film silicon solar modules, is one of our key reference customers of the SenSol H. Oerlikon Solar uses the SenSol H for mapping the spectrally resolved haze and thickness of TCO layers on glass panels to drastically reduce the system service downtime. Oerlikon Solar recommends the SenSol H as quality control tool to its international customers.

The SenSol H comprises the computer-controlled conveyor transport system and the sensor platform for haze, film thickness, and other sensors. The special design of the system allows measurements at every position of the glass sheet, especially at the edges. Glass sheets can be loaded and unloaded manually or by a robot.

Please contact us for more information.

July 2011

Spectral Generalized Magneto-Optical Ellipsometer, 2011

SGME

The spectral generalized magneto-optical ellipsometer SGME© SENresearch combies Kerr spectroscopy, MOKE, and spectroscopic ellipsometry in one easy automated measurement.

The SGME© SENresearch is the ideal tool for the characterization of thin magnetic films, multi-layer stacks, multi-ferroic materials, spintronics, magnetic nano-materials, and new magnetic materials with regards to magneto-optical coupling parameters, orientation of magnetization, degree of spin polarization, refractive index, extinction coefficient, and film thickness.

(SGME© is a trademark of 4DOS GmbH)

May 2011

SENTECH developed new cluster tool, 2011

SI 500 RIE

SENTECH has developed a two chamber cluster tool for etching of metals, dielectrics, and silicon. The system comes with cassette station for automated batch processing and manual loadlock for single wafer etching. The hexagon transfer chamber allows an installation where only cassette station and loadlock extend into the clean room. Chlorine and fluorine etching processes are separated from each other by the two etching modules. The substrate electrode temperature can be chosen between -30 °C and 200 °C. The system is designed for processing of up to 8 inch wafers and especially suited for applications in research and small scale production (picture shows preparation for 4 inch wafers).

Please contact us for more information.

January 2011

IR spectroscopic ellipsometer SENDIRA, 2010

SENDIRA

SENTECH infrared spectroscopic ellipsometer SENDIRA works with THERMO FTIR (6700). The arrangement combined the high performance IR ellipsometry with FTIR technology.

The IR ellipsometer is also available now for the new VARIAN FTIR series.

Please contact us for more information.

November 2010

RM 2000 for contactless optical reflection measurement, 2010

RM1000-2000

SENTECH launched the new FTP advanced reflectometer RM 2000 with spectral range from 200 nm to 930 nm and micro spot of 100 µm. The RM 2000 is especially suited for the characterization of bulk materials, thin films, and layer stacks by optical reflection measurements. Through a spectral range extension and a reduction of the spot size, the RM 2000 is able to measure the uniformity of AR coatings, filters and composition (GaAIN) in the DUV-VIS spectral range. The instrument is supplied with SENTECH FTPadv Expert software.

Please contact us for more information.

October 2010

Depolab 200 — open lid parallel plate plasma deposition system, 2010

SENTECH Depolab 200 is our newest system for plasma deposition of dielectric films (e. g. SiOx, SiOxNy, SiNy layers) on single wafers or pieces of wafers. Direct loading of samples, substrates of up to 8 inch diameter, operation at 13.56 MHz, substrate temperature control between RT and 400 °C, optional frequency mixing and end point detection, advanced hard- and software with client server architecture including the well known Windows based SENTECH software for plasma equipment operation are key features of the new Depolab 200 system.

Please contact us for more information.

August 2010

SenSol mapping tool for large glass substrates in PV, 2009

SenSol V

SENTECH announces the installation of a SenSol measurement system for the analysis of thin films of thin film solar cells. The multiple sensor platform of the SenSol can accommodate sensors for sheet resistance measurement (4 PP sensor, eddy current sensor, optical sensor), for haze measurement, and for film thickness measurement (reflection and transmission mode).

Please contact us for more information.

January 2009